Sandy bridge (microarquitectura)

Sandy bridge (microarquitectura)
Sandy Bridge
Microprocesador
Producción 2011 — 2013
Fabricante(s) Intel
Frecuencia de reloj de CPU 2 GHz a 3,8 GHz
Longitud del canal MOSFET 32 nm a 22 nm
Conjunto de instrucciones x86, MMX, SSE, SSE2, SSE3, SSSE3, x86-64, SSE4.1, SSE4.2, AES, AVX
Microarquitectura Intel Sandy Bridge Microarchitecture
Zócalo(s) Socket R (LGA 2011)
Socket 1155 (LGA 1155)

Sandy Bridge es el nombre en clave de una microarquitectura para procesadores desarrollada por Intel como sucesora de Nehalem en el 2011. Los inicios de su desarrollo se remontan al 2005. Sandy Bridge está fabricado en una arquitectura de 32 nm, al igual que Westmere. Se confirmó en el CES 2011 que serían lanzados a partir del 5 de enero de 2011.

Contenido

Inicios

Al principio, su nombre en clave era Guesher, pero fue descartado el 17 de Abril de 2007 según un comunicado de Justin Rattner en el foro de desarrollo de Intel.

Arquitectura

Aunque el NDA oficialmente se expiró el 3 de Enero del 2011, meses antes de su salida, ya se sabían los detalles que iban a tener estos procesadores:

  • La superficie del encapsulado de los procesadores de cuádruple núcleo son aproximadamente de 216 mm2 con 995 millones de transistores.[1]
  • Soportan las tecnologías HyperThreading y Turbo boost, aunque algunas características están capadas o desactivadas para diferenciarse entre los distintos segmentos de mercado, como ocurría con las anteriores generaciones.
  • Frecuencias de reloj de serie desde 2,3 GHz hasta 3,4 GHz para procesadores de sobremesa y desde 2,2 GHz hasta 2,7 GHz para el segmento portátil. Con Turbo boost activado, se llega hasta los 3,8 GHz sin practicar overclock manual.
  • La GPU integrada cuenta con frecuencias desde 650 MHz hasta 850 MHz, y si se activa Turbo Boost hasta 1,35 GHz.
  • Cierta cantidad de caché de nivel 3 está capada en algunos modelos para diferenciar entre segmentos de mercado.
  • 64 KiB de caché de nivel 1 por núcleo (32 KiB L1 Datos + 32 KiB L1 instrucciones) y 256 KiB caché nivel 2 por núcleo.
  • Hasta 8 MiB de caché de nivel 3 compartida con un bus en anillo para poder compartirse con el núcleo gráfico.
  • Ancho de banda del bus en anillo de 256 bits por ciclo. El bus conecta los núcleos.
  • Todos los procesadores basados cuentan con un ancho de línea con caché de 64 bytes.
  • Controlador de memoria mejorado con un ancho de banda máximo de 25,6 GiB/s y soporte para DDR3 a 1600 MHz en doble canal con dos operaciones de carga/almacenamiento por ciclo.
  • Potencia de diseño térmico comprendida entre 35 W y 95 W para procesadores destinados a sobremesa; y entre 18 W y 55 W los destinados al segmento portátil.
  • Doble y cuádruple núcleo disponibles desde la salida de los mismos, los de séxtuple y óctuple núcleo llegarían al mercado más adelante.
  • Los procesadores con tecnología obsoleta x86 con el SSE desactivado, dan hasta 8 GFLOPS en coma flotante de doble precisión por núcleo, con un máximo teórico de 32 GFLOPS en coma flotante de doble precisión por procesador.
  • Con el AVX activado, los procesadores dan una potencia máxima teórica de 32 GFLOPS de coma flotante en doble precisión por núcleo, lo que se traduce en un máximo de 128 GFLOPS de coma flotante en doble precisión por procesador.
  • Mejorado el rendimiento con operaciones de función transcendente, cifrado AES y SHA-1.
  • Soporte de hasta 32 GiB de RAM DDR3[2]

Más detalles

La versión portátil de Sandy Bridge se especula que podría ser lanzada simultaneamente con la del segmento de sobremesa en el primer trimestre fiscal del 2011, recibiendo el nombre en clave de Huron River.

Una versión inicial de Sandy Bridge fue mostrada en el foro de desarrollo de Intel en otoño de 2009. Este procesador corría a 2 GHz con un stepping A1.

Intel se ha pronunciado en un nuevo conjunto de instrucciones denominado AVX (Advanced Vector Extensions). Estas instrucciones son una evolución de las ya clásicas SSE, y amplian el bus de datos de 128 bits a 256 bits y permiten realizar 3 operandos en lugar de 2. Son especialmente útiles para trabajos intensivos en coma flotante. Se especula que gracias a estas instrucciones es posible doblar el rendimiento teórico con respecto al conjunto de instrucciones SSE 4. Sandy Bridge también contará con un nuevo código extensible denominado AVX.

Otra de las características que más han llamado la atención ha sido Anti-Theft 3.0, que permite la posibilidad de desactivar de forma remota un PC o borrar información de una unidad secundaria sin permiso del usuario actual, lo que puede resultar muy útil en caso de robo o extravio. Los comandos se pueden recibir por WiFi, Ethernet, etc... Sin embargo, esto puede producir cierta inseguridad, ya que abre la puerta a permitir bloqueos "por error" por algún "cracker"

Overclock

Los procesadores compatibles con el zócalo 1155 tienen gran dificultad para aumentar el bus más allá de su valor de serie (100 MHz), con un margen alrededor del 2 o 3% como máximo, debido a un generador de frecuencia integrado que maneja los buses eléctricos. Por ello, la frecuencia del generador debe estar muy cercana a los 100 MHz o el resto de hardware podría tener un comportamiento anormal, o bien sufrir daños. El overclock para estos modelos se centra en el multiplicador del procesador, que Intel habilitará en todos los modelos con la coletilla "K"

El 15 de septiembre de 2010, un procesador modelo i7 2600K pudo llegar a una frecuencia de reloj de 4,9 GHz únicamente por refrigeración de aire. Esto levantó una asombrosa expectación debido a que esa frecuencia sólo se había conseguido mediante refrigeración líquida.

Los modelos con la coletilla "E" también vienen con el multiplicador desbloqueado.

Modelos a 32 nanómetros de escala de integración

Segmento escritorio

  • Gráficos de doble núcleo con 12 unidades de ejecución disponibles en los modelos Core i5 2500, Core i5 2500K, Core i7-2600, y Core i7-2600K.
  • El precio recomendado es de 1000 unidades del fabricante al distribuidor, expresados en dólares estadounidenses ($)
Gama Zócalo Núcleos /
Hilos de ejecución
Modelo
procesador
Gráficos
Integrados
Frecuencia de CPU Frecuencia de GPU Caché de
Nivel 3
TDP Fecha de lanzamiento Precio inicial
De serie / Turbo De serie / Turbo
Rendimiento editar] Segmento portátil
  • Todos los procesadores de portátil disponen de un sistema de gráficos de doble núcleo con 12 unidades de ejecución
  • Soporte EEC disponible en los modelos Core i5-2515E, Core i7-2610UE, Core i7-2655LE, y Core i7-2715QE.
Gama Núcleos /
Hilos de ejecución
Modelo
procesador
Gráficos
Integrados
Frecuencia de CPU Frecuencia de GPU Caché de
Nivel 3
TDP Fecha de lanzamiento
De serie / Turbo De serie / Turbo
Superior 4 (8) Core i7
Extreme Edition
Core i7-2920XM Intel HD Graphics 200
(12 Unid. Ejecución)
2,5 GHz 3,5 GHz 650 MHz 1300 MHz 8 MiB 55 W 5 de Enero del 2011
Rendimiento Core i7 Core i7-2820QM 2,3 GHz 3,4 GHz 45 W
Core i7-2720QM 2,2 GHz 3,3 GHz 6 MiB
Core i7-2715QE 2,1 GHz 3,0 GHz 1100 MHz
Core i7-2710QE
Core i7-2630QM 2,2 GHz 2,9 GHZ
Estándar 2 (4) Core i7-2620M 2,7 GHz 3,4 GHz 1300 MHz 4 MiB 35 W Febrero del 2011
Core i7-2655LE 25 W
Core i7-2640LM
Core i7-2620LM
Core i7-2610LM
Core i7-2630UM 18 W
Core i7-2610UE
Core i5 Core i5-2530UM 3 MiB
Core i5-2540M 2,6 GHz 3,3 GHz 650 MHz 1150 MHz 35 W
Core i5-2520M 2,5 GHz 3,2 GHz
Core i5-2515E 1050 MHz
Core i5-2510E
Básico 2 (2) Celeron B801 2MiB

Leyenda de Sufijos:

  • M - Procesadores portátiles
  • LM - Procesadores portátiles de baja tensión
  • UM - Procesadores portátiles de muy baja tensión
  • QM - Procesadores portátiles de cuádruple núcleo
  • XM - Procesadores portátiles de cuádruple núcleo con el multiplicador desbloqueado
  • E - Procesadores para sistemas embebidos
  • LE - Procesadores para sistemas embebidos de baja tensión
  • UE - Procesadores para sistemas embebidos de muy baja tensión
  • QE -Procesadores para sistemas embebidos de cuádruple núcleo

Variantes sin definir

Son procesadores basados en Sandy Bridge pero sin clasificar aún. Muchos de ellos han aparecido en la prensa japonesa[3] [4] [5]

Nombre en clave Segmento Núcleos (Hilos) Zócalo Rango de frecuencias Frecuencia máxima
con Turbo
TDP (W) Interfaz Memoria Pistas de PCI Express Caché Gráficos integrados
(unidades de procesamiento)
Lanzamiento
Chipset CPU a CPU Canales de Memoria Ancho de banda máximo Capacidad Nivel 2 (por núcleo) Nivel 3 (máximo)
Sandy Bridge-EX Básico 4P server 8 (16) Zócalo R
(LGA 2011)[6]
150/ 130/ 95/ 80/ <80 W DMI 2 2x QPI 4× DDR3-1600 /
DDR3L (1,35V)[6] [7] [8]
51,2 GB/s 12 DIMM por zócalo 40 (v3.0) 256 KiB 20 MiB Ninguno Finales de 2011
Sandy Bridge-EP 1-2P server 8 (16) 3º Trimestre 2011
6 (12)
4 (8)
Sandy Bridge-EN[6] [7]

[8]

1-2P server 8 (16) Zócalo R2
(LGA 2011)[6] [7] [8]
130/ 95/ 80/ <80 W DMI 2 1x QPI 3× DDR3-1600 /
DDR3L (1,35V)[9]
38,4 GB/s 6 DIMM por zócalo 24 (v3.0) (+4 v2.0 en 2º CPU) 20 MiB 3º Trimestre 2011[6] [7] [8]
6 (12)
4 (8)
2 (4)
Gama alta sobremesa 8 (16) 3º Trimestre 2011
6 (12)
Sandy Bridge-DT 1P server /
Rendimiento sobremesa
4 (4-8) Zócalo H2
(LGA 1155)[9]
2,3-3,4 GHz 3,8 GHz 65-95 W DMI 2 N/A 2× DDR3-1333 21,3 GB/s 4 DIMM por zócalo 20 (v2.0) 8 MiB Intel HD Graphics 100/200 (6/12 UE) Enero-Febrero 2011
Estándar sobremesa /
Básico sobremesa
2 (4) 2,5-3,3 GHz 3,5 GHz
(Core i5-2390T)
35-65 W
Sandy Bridge-NB Superior portátil /
Rendimiento portátil
4 (8) 2,2-2,5 GHz 3,5 GHz 45-55 W Intel HD Graphics 200 (12 UE)
Estándar portátil /
Baja tensión /
Muy baja tensión
2 (4) 2,5-2,7 GHz 3,4 GHz 17/ 25/ 35 W

Ivy Bridge corresponde al nombre en clave de los modelos de procesador mejorados de la familia Sandy Bridge. Fueron anunciados en una nota de prensa en el foro de desarrollo de Intel en el 2010

Diferencias

Los cambios entre Sandy Bridge y Ivy Bridge son bastante significativos, entre ellos destacan:

  • Construidos con una arquitectura de 22 nanómetros
  • Los modelos más básicos constan de 4 núcleos, desapareciendo así los modelos de doble núcleo; los modelos de alta gama pasarán a ser de óctuple núcleo (8) o incluso sexdécuple núcleo (16).
  • La GPU integrada pasa a tener hasta 24 unidades de ejecución en los modelos más complejos y 12 en los más simples y compatible con la API DirectX 11

Itinerario de arquitecturas

Mapa de arquitecturas de Intel, desde Netburst hasta Rockwell

Véase también

Referencias

  1. http://www.anandtech.com/show/4083/the-sandy-bridge-review-intel-core-i5-2600k-i5-2500k-and-core-i3-2100-tested
  2. http://www.dvhardware.net/article47208.html
  3. (en Japanese, Encoding: EUC-JP) “SandyBridge”世代のデスクトップCPU, 北森瓦版 / northwood.blog60.fc2.com, 2009-11-27, http://northwood.blog60.fc2.com/blog-entry-3318.html, consultado el 2010-12-16 , English translation.
  4. Kaigai; Hiroshige Goto (2010-03-01), «32nmプロセス世代のIntelとAMDのCPU» (en Japanese, Encoding: SHIFT_JIS), PC Watch (Japan: Impress Watch), http://pc.watch.impress.co.jp/docs/column/kaigai/20100301_351592.html, consultado el 2010-12-16 , English translation.
  5. Kaigai; Hiroshige Goto (2010-04-09), «ノートPCからMPサーバーまで投入されるIntelの「Sandy Bridge」» (en Japanese, Encoding: SHIFT_JIS), PC Watch (Japan: Impress Watch), http://pc.watch.impress.co.jp/docs/column/kaigai/20100409_360112.html, consultado el 2010-12-16 , English translation.
  6. a b c d e Swinburne, Richard. «Intel Sandy Bridge: Details of the next gen», bit-tech, 21-04-2010. Consultado el 16-12-2010.
  7. a b c d Galistel, Andreas. «Sandy Bridge-E LGA 2011 socket pictured», NordicHardware, 09-08-2010. Consultado el 16-12-2010.
  8. a b c d Lal Shimpi, Anand. «The Sandy Bridge Preview», AnandTech, 27-08-2010. Consultado el 16-12-2010.
  9. a b Sandy Bridge Sockets, Hiroshige Goto

Bridge Info http://sandybridgelaptop.info/]


Wikimedia foundation. 2010.

Mira otros diccionarios:

  • Intel Core i7 (Sandy bridge) — Se ha sugerido que este artículo o sección sea fusionado en Sandy bridge (microarquitectura) (discusión). Una vez que hayas realizado la fusión de artículos, pide la fusión de historiales aquí. Intel Core i7 es una familia de procesadores de… …   Wikipedia Español

  • Pentium Dual-Core — Microprocesador Intel Pentium Dual Core E2140 Producción 2006   presente …   Wikipedia Español

  • Microprocesador — Procesador AMD Athlon 64 X2 conectado en el zócalo de una placa base. El microprocesador o simplemente procesador, es el circuito integrado central y más complejo de un sistema informático; a modo de ilustración, se le suele asociar por analogía… …   Wikipedia Español

  • Intel Core 2 — Duo Microprocesador Producción 2006   2009 Fabricante(s) Intel Frecuencia de reloj de CPU 1,06 GHz a 3,33 GHz …   Wikipedia Español

  • Intel Core i7 (Nehalem) — Para la familia de procesadores Core i7 basados en Sandy bridge, véase Intel Core i7 (Sandy bridge). Core i7 Microprocesador Producción Desde 2008 Fabricante(s) Intel …   Wikipedia Español

  • Intel Pentium 4 — Pentium 4 Microprocesador Pentium 4 (Northwood) / 1,80 GHz Producción 2000   2008 …   Wikipedia Español

Compartir el artículo y extractos

Link directo
Do a right-click on the link above
and select “Copy Link”